Aldec releases latest version of the Riviera-PRO

Update: May 19, 2021

Aldec releases latest version of the Riviera-PRO

Aldec releases latest version of the Riviera-PRO

Aldec has said that it is now supplying the most comprehensive implementation of VHDL 2019 for both Windows and Linux platforms with the latest release of Riviera-PRO (release version 2021.04).

According to Aldec, a specialists in mixed HDL language simulation and hardware-assisted verification for FPGA and ASIC designs, among the most important features now being supported is Interfaces.

Interfaces have historically been difficult to model in VHDL. In VHDL-2019 (a.k.a. IEEE 1076-2019), they are accommodated using a record and a mode view. Along with other new features, Interfaces enable users to create code that is more compact and more reusable.

VHDL-2019 simulation features added to Riviera-PRO include support for arrays and records of the file type, the introduction of sequential block statements, and the STD library has been enhanced with the REFLECTION package.

“The improved support of Interfaces to VHDL was one of the most exciting in the VHDL-2019 release, and their implementation was the direct result of requests from the VHDL user community, which put forward usage models to justify the addition,” said Sunil Sahoo, Riviera-PRO Product Manager. “We also listen to the community. When launching or enhancing our EDA tools, Aldec always does so in direct response to our users’ needs and requests, and the improved support of Interfaces builds on an early provision for VHDL-2019 we introduced in Riviera-PRO last year. Most other EDA tool vendors have yet to cater for VHDL-2019 in any way.”

Riviera-PRO has also received a variety of SystemVerilog simulation enhancements. These include: the data type of a user-defined nettype can be specified with a type parameter, and randomsequence statements can now be declared in modules and classes parameterized by a type.