Chip foundry battles advanced processes, why does TSMC take the lead in 2nm?

Update: February 16, 2023

Recently, it is reported that TSMC has made a major breakthrough in the research and development of 2nm advanced process and has successfully found a path to cut into the gate-all-around (GAA) technology.

The pursuit of more advanced processes by using mature and characteristic processes has always been the direction of chip manufacturers such as TSMC and Samsung. Previously, Samsung stated that it would take the lead in introducing GAA technology at 3nm, expressing its ambition to become the global chip foundry leader. This time, TSMC has made a major breakthrough in the research and development of the 2nm process, which highlights its strong research and development strength, and also intensifies the competition between the two chip foundry giants.

 TSMC, Samsung compete for more advanced processes

After the birth of Moore’s Law, the size of chips is getting smaller and smaller, and companies are constantly exploring new processes and materials to develop semiconductor products and improve performance. Mo Dakang, an expert in the Semiconductor industry, told the “China Electronics News” reporter that the current main development route of the semiconductor industry is the continuous reduction of size. The reduction in size can lead to improved integration, enhanced product performance, and reduced product cost.

TSMC and Samsung are the leaders in chip foundry. According to TrendForce, in the second quarter of this year, TSMC won 51.5% of the chip foundry share, topping the list, followed by Samsung with about 19%. Jin Cunzhong, secretary general of the China Electronics Special Equipment Association, pointed out that TSMC is ahead of Samsung in the 7-nanometer mass production schedule. In this regard, Zhou Peng, deputy dean of the School of Microelectronics of Fudan University, gave more specific information: TSMC announced the mass production of the 7-nanometer process as early as April 2018, and obtained customers from Apple, Huawei HiSilicon, AMD, Qualcomm and other customers. Bulk 7nm orders. While Samsung announced in October 2018 that its 7nm process was mass-produced, the lag in time has led to the loss of a large number of customer orders.

In the field of advanced manufacturing, TSMC and Samsung continue to “compete”. Taking the 5nm process as an example, TSMC has won all orders for Apple’s upcoming four new iPhone processors in the second half of this year. Jin Cunzhong told reporters that TSMC is expected to achieve mass production of 5 nanometers this year, but Samsung cannot do it. Seeing that TSMC has won a large number of 5nm orders, Samsung is not willing to be left behind, and announced that it will transform the previous 7nm process chip base into a 5nm process production base to provide chip foundry services for third-party manufacturers, trying to use “rush” 5nm way to catch up with TSMC. It is reported that Samsung has obtained some Qualcomm 5G chip foundry orders, and will use the 5nm process to produce chips.

In the competition of more advanced processes, TSMC and Samsung are still “chasing me”. Zhou Peng introduced that Samsung has invested a lot of money in the research and development of more advanced processes. At the same time, it has also adjusted the chip process roadmap. It will skip the 4-nanometer process and directly increase from 5-nanometer to 3-nanometer. In the 3-nanometer process First to announce that GAA technology will be used. Samsung has also fabricated MBCFETs (Multi-Bridge-Channel Field Effect Transistors) based on nanosheets, which can significantly enhance Transistor performance to replace FinFET Transistor technology.

Mo Dakang told reporters that although TSMC lags behind Samsung in the development schedule of the GAA architecture, TSMC plans to still use FinFET technology in the 3nm process. Reducing changes in production tools can keep its cost structure stable and reduce customers. design changes, reduce their production costs, or produce better results. Zhou Peng said that TSMC has started planning for a 3-nanometer process many years ago, and plans to achieve mass production in 2021. At the next node, 2nm, TSMC seems to be one step ahead, and this time they have made a major breakthrough in the development of 2nm advanced process research and development. It is reported that TSMC announced that it will build a factory in the Southern Science and Technology Park in Taiwan, China, and start the research and development of the 2-nanometer process. It is expected to be put into production as soon as 2024. And Samsung has little information on the research and development of the 2nm process.

  Why is TSMC “leading the way” in advanced manufacturing?

Under the “baton” of Moore’s Law, the competition for more advanced processes in foundry has intensified. Zhou Peng told reporters that in terms of advanced manufacturing process, the three chip foundry giants TSMC, Samsung and Intel are in the first camp. Intel has plans to launch 7nm (equivalent to 5nm) in 2021, but it is still mainly sticking to the 10nm node, hoping to make 10nm “extreme”, so the battlefield of 7nm and below process nodes is only TSMC. And Samsung, showing an absolute oligarchic competition pattern. This time, TSMC has made a major breakthrough in the research and development of 2nm advanced processes, which means that TSMC is temporarily in a leading position in more advanced processes. So, why is TSMC able to “lead the way” in more advanced processes?

Mo Dakang introduced that in fact, TSMC is not “fighting alone”. TSMC was able to make breakthroughs in 2nm technology “ahead of time”, thanks to the support of a huge group behind it. It is reported that TSMC has always emphasized that it maintains a neutral attitude at all times while doing OEM, will not compete with customers for orders, and can truly put the interests of customers first. Therefore, TSMC has been able to establish good relationships with customers for a long time, making the number of customer groups (Apple, Xilinx, NVIDIA, etc.) that have no conflict of interest with TSMC very large. After the chip enters the 3nm process, many existing technologies are difficult to meet the demand. As a foundry, TSMC is no exception. It needs to be comprehensively solved from the aspects of device architecture, process variation, thermal effects, equipment and materials. However, because TSMC has a huge customer base behind it, it can work with TSMC to improve the process yield and reduce costs to speed up mass production, which is also the key to TSMC’s ability to “pre-empt” in the 2nm field.

Zhou Peng pointed out that TSMC’s advantages in FinFET technology have provided great assistance for TSMC’s research and development in the 2nm advanced process, enabling it to take the lead. “As the process node develops to 3nm, the transistor channel is further shortened, and the FinFET structure encounters the limitation of quantum tunneling effect. GAA-FET is equivalent to an improved version of FinFET, the gate of FinFET wraps the channel 3 side, and the FinFET control The mechanism of gate leakage current is similar, and GAA technology wraps all four sides of the channel to further improve the gate’s ability to control the channel current. TSMC has a deep background in the field of FinFET technology, and these technologies have been accumulated for TSMC to successfully transform from 3nm FinFET The technology switch to 2nm GAA technology has played an important role in promoting, greatly shortening the iteration cycle of TSMC’s advanced process technology update.” Zhou Peng told reporters.

At the same time, TSMC is also ready for equipment support. Zhou Peng said that in order to realize the 2-nanometer advanced process, TSMC has ordered ASML extreme ultraviolet lithography (EUV) equipment in large quantities. However, Zhou Peng also pointed out that the accuracy of lithography directly determines the accuracy of the process. For the advanced process of 2 nm, EUV technology with high numerical aperture still needs to be developed. The optimization of light source and mask tools, as well as the yield and accuracy of EUV are all It is an important factor to achieve breakthroughs in more advanced process technology.

  TSMC’s breakthrough or stimulate other manufacturers to upgrade technology

Major technological breakthroughs in more advanced processes will affect the entire integrated circuit industry and market structure. Zhou Peng said that although the evaluation of process technology needs to consider the density, performance and power consumption of actual transistors, the introduction of major technologies in advanced processes is of great significance to the integrated circuit industry and market structure. “In the R&D process of advanced processes, the cost of each technology production line exceeds 10 billion US dollars. Higher R&D and production costs correspond to more difficult technical challenges. Whenever the process technology approaches the physical limit, the transistor structure, The innovation and synergy of lithography, deposition, etching, integration, packaging and other technologies can play a decisive role in the breakthrough of chip performance ceiling.” Zhou Peng told reporters.

Zhou Peng also told reporters that research on advanced process nodes is crucial to the development of foundries and the entire semiconductor industry, and the lag in research and development will surely be surpassed or even replaced by advanced processes of other manufacturers. Based on this, Zhou Peng believes that the technological breakthrough of TSMC in the 2nm process can stimulate the product development and technology upgrade of leading companies such as Samsung and Intel in the field of advanced process.

Zhou Peng predicted that since TSMC’s 3-nanometer process is scheduled to be mass-produced in 2021, its 2-nanometer rollout could be between 2023 and 2024. So, if TSMC successfully launches the 2nm process, will this change the pattern of the foundry market in the future? Zhou Peng said that the first launch of the 2nm process will definitely further expand TSMC’s share of the advanced process market, and may even open the gap with Samsung and Intel. Of course, Samsung and Intel are also actively advancing R&D. The research and development of process technology is full of variables, and it remains to be seen who will eventually lead in the future.

Regarding the competition of advanced processes in the foundry market, Zhou Peng said that this kind of competition can bring benefits to the entire integrated circuit industry and users. “Market demand drives the further development of advanced manufacturing processes. No matter who is the leader of advanced manufacturing processes in the future, the ultimate benefit will be the entire integrated circuit industry and everyone who enjoys high-performance Electronic products.” Zhou Peng told reporters.