Intel sampling Sierra Forest

Update: August 11, 2023

The following year, 2025, Intel says it will bring out Clearwater Forest on Intel’s 18A (2nm) process. If achieved, it will mean that Intel has met its stated goal of migrating its process technology over five nodes in four years – an unprecedented rate of scaling.

Both Sierra Forest and Clearwater Forest will use Intel’s e-core (efficiency-core) which goes for higher core density and higher energy efficiency than Intel’s p-core (performance core) Xeons and are aimed at the cloud-optimised workloads of the hyperscalers.

Clearwater is the first Xeon to use 18A. It will have  the innovations introduced in the 20A process  – i.e  RibbonFET and the PowerVia backside power delivery technology.

18A is when Intel claims it will overtake TSMC in process technology. The Intel roadmap was revealed in this  webcast.