Imec bereitet High-NA EUV-Scanner für die ersten Wafer vor

Aktualisierung: 1. März 2024 Stichworte:ecoelicLCDlt

„Der erste High-NA EUV-Scanner wurde von ASML zusammengebaut und die ersten Wafer werden bald belichtet“, sagt Steven Scheer, Senior Vice President für Advanced Patterning, Process and Materials bei imec, „in den nächsten Monaten wird das gemeinsame imec.“ -Das ASML High-NA EUV Lab wird betriebsbereit sein und den High-NA-Kunden wird Zugang gewährt.“

„Das High-NA-EUV-Labor mit den installierten Geräten und Prozessen ermöglicht den Kunden einen frühen Beginn des High-NA-EUV-Lernens, bevor die Werkzeuge in ihren Fabriken einsatzbereit sind“, fügt Scheer hinzu. „Das war die Rolle von imec in enger Zusammenarbeit.“ mit ASML und unserem erweiterten Lieferantennetzwerk, um die rechtzeitige Verfügbarkeit fortschrittlicher Resistmaterialien, Fotomasken, Messtechniken, (anamorphotischer) Bildgebungsstrategien und Strukturierungstechniken sicherzustellen.“

Field Stitching ist ein Schlüsselfaktor bei High-NA: Field Stitching ist wegen der anamorphotischen Linse (d. h. einer Linse mit unterschiedlicher Verkleinerung in x- und y-Richtung) erforderlich, was zu Feldgrößen führt, die halb so groß sind wie die herkömmlicher Scannerfeldgröße .

Imec wird die neuesten Erkenntnisse teilen, die ein hochauflösendes Stitching ermöglichen, basierend auf der Arbeit, die mit ASML und unseren Maskenshop-Partnern am NXE:3400C-Scanner von imec durchgeführt wurde. Durch das Nähen in Auflösung wird die Notwendigkeit von Designänderungen zur Bewältigung der Feldgrößenreduzierung verringert.

Auf der Material- und Prozessseite ist klar, dass Metalloxidresiste (MORs) bei Metalllinien-/Abstandsmustern immer noch die Nase vorn haben. Imec wird die Fortschritte von MOR im Hinblick auf die Reduzierung der EUV-Dosis-Ausbeute vorstellen. Die Auswahl der spezifischen Unterschicht, die Optimierung des Entwicklungsprozesses, die Wahl des Maskenabsorbers, der Maskenvorspannung und der Maskentönung führten zu einer Dosisreduktion von mehr als 20 % für Linien und Zwischenräume, ohne erhöhte Rauheit oder stochastische Fehler.

Auch die Abmessungen von Spitze zu Spitze wurden durch diese Maßnahmen zur Dosisreduzierung nicht negativ beeinflusst. Die Arbeit an der Dosisreduzierung geht weiter und wird von unseren Chipherstellern sehr geschätzt, da sie aufgrund des höheren Scannerdurchsatzes zu einer EUV-Kostenreduzierung führt.

Ein unerwartetes Ergebnis wurde durch die Verwendung von MOR-Resisten mit einer binären Hellfeldmaske zur Kontaktlochstrukturierung erzielt. Nach der Musterübertragung wurde im Vergleich zu einem chemisch verstärkten Positivlack (CAR) und einer im selben Stapel übertragenen binären Dunkelfeldmaske eine Dosisreduktion um 6 % mit einer Verbesserung der lokalen CD-Gleichmäßigkeit (LCDU) um 30 % erreicht.

Ein verbleibendes Problem bei Hellfeldmasken für Kontaktlöcher ist die Qualität und Fehlerhaftigkeit der Maske. Dies muss sorgfältig untersucht werden, um MOR für Kontaktlöcher in Frage zu stellen. Bis dahin werden positiv getönte CAR-Resists mit Dunkelfeldmasken die Hauptkandidaten für die Kontakt- und Via-Strukturierung im High-NA-EUV sein.

Eine hohe NA erfordert auch Verbesserungen in der Messtechnik und Inspektion, was zu einer höheren Auflösung (durch die hohe NA) und dünneren Filmen (durch die verringerte Tiefenschärfe (DOF)) führt. Die Ergebnisse von Imec zur Elektronenstrahl- und Tief-UV-Inspektion (DUV) zeigen, dass neue, am besten bekannte Methoden (BKMs) vorhanden sind, um High-NA-relevante stochastische Strukturierungsfehler wie sechseckige Kontaktlöcher zu finden.

Es werden mehrere Techniken des maschinellen Lernens (basierend auf der Entrauschung von REM-Aufnahmen) vorgeschlagen, um die Inspektion und Klassifizierung kleiner Defekte zu erleichtern.

Bildverbesserungen durch Quellmaskenoptimierungen und anamorphotische Masken-OPC (unter Berücksichtigung der Notwendigkeit von Stitching) werden von imec und Partnern vorgestellt.