CY CY23S05SXC-1T В наличии

Обновление: 6 марта 2024 г. Теги: ic technology

#CY23S05SXC-1T CY CY23S05SXC-1T Новый тактовый драйвер на основе ФАПЧ, серия 23S, 4 истинных выхода, 0 инвертированных выходов, CMOS, изображения PDSO8, SOIC-8, CY23S05SXC-1T, цена CY23S05SXC-1T, поставщик # CY23S05SXC-1T
-----------------------
Электронная почта: [электронная почта защищена]
https://www.slw-ele.com/cy23s05sxc-1t.html

-----------------------

Номер детали производителя: CY23S05SXC-1T
Код без содержания свинца: Да
Код жизненного цикла детали: активный
Ihs Производитель: CYPRESS Полупроводниковое CORP
Код компонента в упаковке: SOIC
Описание упаковки: LSOP, SOP8, 25
Количество контактов: 8
Код ECCN: EAR99
Код HTS: 8542.31.00.01
Производитель: Сайпресс Полупроводниковое
Рейтинг риска: 5.17
Семья: 23S
Входное кондиционирование: СТАНДАРТНОЕ
Код JESD-30: R-PDSO-G8.
Код JESD-609: e3.
Длина: 4.889 мм
Логика IC Тип: ДРАЙВЕР ЧАСОВ НА ОСНОВЕ PLL
Макс I (ol): 0.008 А
Уровень чувствительности к влаге: 3
Количество функций: 1
Количество терминалов: 8
Количество истинных выходов: 4
Максимальная рабочая температура: 70 ° C
Выходные характеристики: 3 состояния
Материал корпуса упаковки: ПЛАСТИК / ЭПОКСИД
Код пакета: LSOP
Код эквивалентности упаковки: SOP8, .25
Форма упаковки: ПРЯМОУГОЛЬНАЯ
Стиль упаковки: МАЛЕНЬКИЙ КОНТРОЛЬ, НИЗКИЙ ПРОФИЛЬ
Пиковая температура оплавления (Cel): 260
Источники питания: 3.3 В
Задержка распространения (tpd): 0.35 нс
Статус квалификации: не соответствует требованиям
Максимальный перекос одинаковой кромки (tskwd): 0.25 нс
Высота сиденья - макс .: 1.549 мм
Подраздел: Драйверы для часов
Планирование напряжение-Макс (Vsup): 3.6 В
Планирование напряжение-Мин (Vsup): 3 В
Планирование напряжение-Номинальное (Vsup): 3.3 В
Поверхностное крепление: ДА
Технологии: CMOS
Температурный класс: КОММЕРЧЕСКИЙ
Конечная отделка: матовое олово (Sn)
Форма клеммы: GULL WING
Шаг клемм: 1.27 мм
Конечное положение: ДВОЙНОЙ
Время
Тактовый драйвер на основе ФАПЧ, серия 23S, 4 реальных выхода, 0 инвертированных выходов, CMOS, PDSO8, SOIC-8