كيف تدعم الترابطات البينية UCIe وBoW الذكاء الاصطناعي التوليدي على الشرائح الصغيرة؟

توفر معايير مجموعة الأسلاك (BoW) ومعايير Universal Chiplet Interconnect Express (UCIe) للمصممين مقايضات من حيث الإنتاجية وكثافة التوصيل البيني والتأخير ودرجة الارتطام.

تقارن هذه الأسئلة الشائعة أداء BoW وUCIe وتبحث في كيفية توفير الوصلات البينية الضوئية مسارًا لوصلات بينية ذات أداء أعلى في الشرائح الصغيرة.

لتحقيق الأداء الأمثل للذكاء الاصطناعي التوليدي (AI)، والتعلم الآلي (ML)، وتطبيقات الحوسبة عالية الأداء الأخرى (HPC)، يتجه المصممون إلى مجموعات الشرائح التي يمكنها الجمع بين مسرعات الذكاء الاصطناعي ووحدات معالجة الرسومات ووحدة المعالجة المركزية والذاكرة والشبكات في حزمة واحدة. قد يكون ربط الأجهزة غير المتجانسة في شريحة صغيرة أمرًا صعبًا بشكل خاص.

تم تطوير BoW بواسطة مجموعة عمل البنية المحددة للمجال المفتوح (ODSA) داخل منظمة Open Compute Project (OCP). تتمثل أهداف BoW في توفير تكاليف تنفيذ منخفضة، والتوافق مع عقد معالجة IC المختلفة، والطاقة المنخفضة، وزمن الوصول المنخفض، والقدرة على دعم مجموعة متنوعة من تقنيات التعبئة والتغليف المستخدمة لتجميع الشرائح، مثل الشرائح منخفضة التكلفة والسيليكون عالي الأداء. المتدخلين على أساس.

BoW 2.0 هو الإصدار الأحدث وقد ضاعف السرعة القصوى إلى 512 جيجابت في الثانية (Gbps) لكل شريحة مكونة من 16 حارة. كما أدى المعيار المحدث إلى تحسين كفاءة استخدام الطاقة من خلال الأوضاع غير النشطة للساعة المسورة وخط البيانات التي يمكن أن توفر ما يصل إلى 90% من الطاقة مقارنة بالطرق البديلة، وشرائح نصف العرض للقوالب الأصغر مع مساحة محدودة للتوصيلات البينية، وإدارة القنوات باستخدام شرائح النطاق الجانبي، وتكوين الاتجاه عبر القنوات ذات الشرائح ثنائية الاتجاه والتكرار لتحسين متانة الوصلات البينية.

في إحدى الحالات، تم تطوير شريحة رقمية للحوسبة داخل الذاكرة (DIMC) باستخدام معيار BoW PHY. يدعم زمن الوصول المنخفض لـ BoW استنتاج الذكاء الاصطناعي باستخدام نماذج اللغة الكبيرة (LLMs). يوفر حل الشرائح الجديد تحسينًا بمقدار 40 مرة في عرض النطاق الترددي للذاكرة مقارنة بوحدات معالجة الرسومات عالية الأداء. يؤدي عرض النطاق الترددي الأعلى للذاكرة إلى إنتاجية أعلى وزمن وصول أقل لتطبيقات الاستدلال التوليدي مع تقليل التكاليف الإجمالية.

كما هو موضح، يدعم BoW الوصلات البينية عالية الأداء للذكاء الاصطناعي التوليدي وتطبيقات HPC الأخرى. في الحالات التي تتطلب المزيد من الأداء، يمكن للمصممين التفكير في UCIe.

كيف يقارن UCIe
تتضمن مواصفات UCIe طبقة الإدخال/الإخراج المادية (D2D) وبروتوكولات D2D ومجموعة البرامج. تستفيد UCIe من معايير صناعة PCI Express (PCIe) وCompute Express Link (CXL). مثل أحدث إصدار من BoW، يتضمن UCIe 1.1 التكرار لدعم الموثوقية العالية. بالإضافة إلى ذلك، قام UCIe 1.1 بتوسيع دعم الذكاء الاصطناعي في المركبات ذاتية القيادة. تتضمن بعض التغييرات الأخرى في UCIe 1.1 ما يلي:

  • التحسينات المعمارية لتحسين اختبار الامتثال.
  • يدعم البروتوكولات المتعددة المتزامنة مع وظيفة طبقة الارتباط الكاملة لبروتوكولات الدفق.
  • مراقبة صحة وقت التشغيل وإصلاحها لتطبيقات السيارات والموثوقية العالية.
  • تدعم خرائط التضاريس الجديدة التغليف بتكلفة أقل.

يدعم UCIe أداء أعلى قليلاً مقارنة بـ BoW. UCIe وBoW قابلان للمقارنة بشكل عام، ولكن تم تحسين UCIe للتطبيقات ذات الكثافة العالية (الجدول 1).

الجدول 1. تقدم UCIe وBoW مستويات مماثلة من الأداء، ولكن يمكن أن تدعم UCIe التطبيقات ذات الكثافة العالية (الجدول: إيقاع).

في حين تم تصميم BoW بشكل أساسي للاستخدام داخل الشرائح الصغيرة، فقد تم تصميم UCIe لحالتي استخدام. مثل BoW، تم تصميم UCIe لدعم التوصيل البيني الموفر للطاقة والكثافة العالية والفعال من حيث التكلفة داخل الشرائح. بالإضافة إلى ذلك، تم تصميم UCIe لدعم الاتصال خارج الحزمة باستخدام التوصيلات البينية الضوئية والكهربائية باستخدام أجهزة ضبط الوقت للبروتوكول الأساسي مثل PCIe أو CXL على مستوى الحامل وما فوق.

عند استخدام UCIe للاتصال خارج شريحة صغيرة، يمكن أن يصبح الأمان مصدر قلق. واستجابة لذلك، أنشأ اتحاد UCIe مجموعة عمل فرعية تركز على تعزيز الأمن للأنظمة البيئية ذات الشرائح الصغيرة والقوالب المتعددة. سيعالج هذا الجهد التحديات الأمنية المتعددة الداخلية للشرائح الصغيرة ولربط الشرائح الصغيرة بالعالم الخارجي. تم اقتراح طبقة بروتوكول أساسية آمنة مدعمة بالذكاء الاصطناعي لمواجهة التحديات الأمنية المتزايدة (الشكل 1). ومع التوسع في استخدام الشرائح في أنظمة السيارات وتطبيقات الذكاء الاصطناعي والتعلم الآلي والحوسبة عالية الأداء، فمن المتوقع أن تتزايد الحاجة إلى الأمان.

الشكل 1. يمكن أن تتضمن UCIe طبقة بروتوكول آمنة مدعمة بالذكاء الاصطناعي لتلبية الاحتياجات الأمنية (الصورة: UCIexpress.org).

نبذة عامة
يدعم كل من BoW وUCIe مستويات عالية من الأداء والتكرار للتوصيلات البينية. يمكن لـ UCIe تقديم حلول عالية الكثافة. تم تصميم BoW بشكل أساسي للاستخدام داخل الشرائح الصغيرة، بينما يمكن لـ UCIe دعم الاتصالات داخل الشرائح الصغيرة وبين الشرائح الصغيرة والأنظمة الخارجية.

مراجع حسابات
مواصفات PHY لمجموعة من الأسلاك (BoW)، مشروع حساب مفتوح
تصميم و التكنلوجيا مساحات لتكامل الشرائح غير المتجانسة، تصميم الحل
يصل برنامج d-Matrix المبتكر لحوسبة الذكاء الاصطناعي إلى مرحلة جديدة في استدلال الذكاء الاصطناعي الفعال، d-Matrix
تأمين الحدود الجديدة: الشرائح الصغيرة وتحديات أمن الأجهزة، UCIexpress.org
مجموعة الأسلاك (BoW) – واجهة مادية مفتوحة المصدر تمكن بنيات الشرائح الصغيرة، جمعية IEEE لتغليف الإلكترونيات
معيار UCIe: شرح الفوائد والمتطلبات، ملخص
Universal Chiplet Interconnect Express (UCIe)®: معيار مفتوح لتطوير نظام بيئي ناجح للرقائق، IEEE Electronics Packaging Society
ما هي واجهات البيانات المستخدمة في التوصيلات البينية Chiplet؟، الإيقاع