การเชื่อมต่อระหว่าง UCIe และ BoW รองรับ generative AI บนชิปเล็ตได้อย่างไร

มาตรฐานมัดสายไฟ (BoW) และมาตรฐาน Universal Chiplet Interconnect Express (UCIe) ช่วยให้นักออกแบบได้รับข้อแลกเปลี่ยนในแง่ของปริมาณงาน ความหนาแน่นของการเชื่อมต่อระหว่างกัน ความล่าช้า และระยะพิทช์

คำถามที่พบบ่อยนี้จะเปรียบเทียบประสิทธิภาพของ BoW และ UCIe และดูว่าการเชื่อมต่อระหว่างกันแบบออปติคอลอาจให้เส้นทางไปยังการเชื่อมต่อระหว่างกันที่มีประสิทธิภาพสูงขึ้นในชิปเล็ตได้อย่างไร

เพื่อให้ทราบถึงประสิทธิภาพที่เหมาะสมที่สุดสำหรับปัญญาประดิษฐ์เจเนอเรทีฟ (AI) การเรียนรู้ของเครื่อง (ML) และแอปพลิเคชันการประมวลผลประสิทธิภาพสูง (HPC) อื่นๆ นักออกแบบจึงหันมาใช้ชิปเซ็ตที่สามารถรวมตัวเร่งความเร็ว AI, GPU, CPU, หน่วยความจำ และเครือข่ายเข้าด้วยกันใน แพคเกจเดียว การเชื่อมต่ออุปกรณ์ที่ต่างกันในชิปเล็ตอาจมีความท้าทายเป็นพิเศษ

BoW ได้รับการพัฒนาโดยคณะทำงาน Open Domain specific Architecture (ODSA) ภายในองค์กร Open Compute Project (OCP) เป้าหมายของ BoW คือการลดต้นทุนการดำเนินงาน ความเข้ากันได้กับโหนดกระบวนการ IC ต่างๆ พลังงานต่ำ เวลาแฝงต่ำ และความสามารถในการรองรับเทคโนโลยีบรรจุภัณฑ์ที่หลากหลายที่ใช้ในการประกอบชิปเซ็ต เช่น ลามิเนตต้นทุนต่ำและซิลิคอนประสิทธิภาพสูง ผู้ขัดขวางตาม

BoW 2.0 เป็นเวอร์ชันล่าสุดและเพิ่มความเร็วสูงสุดเป็นสองเท่าเป็น 512 กิกะบิตต่อวินาที (Gbps) ต่อสไลซ์ 16 เลน มาตรฐานที่ได้รับการปรับปรุงยังปรับปรุงประสิทธิภาพการใช้พลังงานด้วยโหมดนาฬิกาที่มีรั้วรอบขอบชิดและสายข้อมูลซึ่งสามารถประหยัดพลังงานได้มากถึง 90% เมื่อเทียบกับวิธีการอื่น การตัดชิ้นส่วนแบบครึ่งความกว้างสำหรับแม่พิมพ์ขนาดเล็กที่มีพื้นที่จำกัดสำหรับการเชื่อมต่อระหว่างกัน การจัดการช่องทางโดยใช้แถบด้านข้าง การกำหนดค่าทิศทาง ข้ามช่องสัญญาณที่มีการแบ่งส่วนแบบสองทิศทางและการสำรองข้อมูลซ้ำซ้อนเพื่อปรับปรุงความแข็งแกร่งของการเชื่อมต่อระหว่างกัน

ในกรณีหนึ่ง ชิปเล็ตประมวลผลในหน่วยความจำดิจิทัล (DIMC) ได้รับการพัฒนาโดยใช้มาตรฐาน BoW PHY เวลาแฝงที่ต่ำของ BoW รองรับการอนุมาน AI โดยใช้โมเดลภาษาขนาดใหญ่ (LLM) โซลูชันชิปเซ็ตใหม่มอบแบนด์วิธหน่วยความจำที่ดีขึ้น 40 เท่า เมื่อเทียบกับ GPU ประสิทธิภาพสูง แบนด์วิดท์หน่วยความจำที่สูงขึ้นจะสร้างปริมาณงานที่สูงขึ้นและเวลาแฝงที่ลดลงสำหรับแอปพลิเคชันการอนุมานเชิงกำเนิดในขณะที่ลดต้นทุนทั้งหมดให้เหลือน้อยที่สุด

ดังที่แสดงไว้ BoW รองรับการเชื่อมต่อระหว่างกันประสิทธิภาพสูงสำหรับ AI ทั่วไปและแอปพลิเคชัน HPC อื่นๆ ในกรณีที่ต้องการประสิทธิภาพที่มากกว่านี้ นักออกแบบสามารถพิจารณา UCIe ได้

UCIe เปรียบเทียบอย่างไร
ข้อกำหนด UCIe ประกอบด้วยฟิสิคัลเลเยอร์ die-to-die (D2D) I/O, โปรโตคอล D2D และสแต็กซอฟต์แวร์ UCIe ใช้ประโยชน์จากมาตรฐานอุตสาหกรรม PCI Express (PCIe) และ Compute Express Link (CXL) เช่นเดียวกับ BoW เวอร์ชันล่าสุด UCIe 1.1 มีระบบสำรองเพื่อรองรับความน่าเชื่อถือสูง นอกจากนี้ UCIe 1.1 ยังได้ขยายการรองรับ AI ในรถยนต์ขับเคลื่อนอัตโนมัติ การเปลี่ยนแปลงอื่นๆ ใน UCIe 1.1 ได้แก่:

  • การปรับปรุงสถาปัตยกรรมเพื่อปรับปรุงการทดสอบการปฏิบัติตามข้อกำหนด
  • รองรับหลายโปรโตคอลพร้อมกันพร้อมฟังก์ชันเลเยอร์ลิงก์เต็มรูปแบบสำหรับโปรโตคอลการสตรีม
  • การตรวจสอบและซ่อมแซมสุขภาพรันไทม์สำหรับการใช้งานด้านยานยนต์และความน่าเชื่อถือสูง
  • แผนที่กันกระแทกใหม่รองรับบรรจุภัณฑ์ที่มีต้นทุนต่ำลง

UCIe รองรับประสิทธิภาพที่สูงขึ้นเล็กน้อยเมื่อเทียบกับ BoW โดยทั่วไป UCIe และ BoW จะเปรียบเทียบกันได้ แต่ UCIe ได้รับการปรับให้เหมาะกับการใช้งานที่มีความหนาแน่นสูงกว่า (1 ตาราง).

ตารางที่ 1 UCIe และ BoW นำเสนอประสิทธิภาพในระดับที่ใกล้เคียงกัน แต่ UCIe สามารถรองรับแอปพลิเคชันที่มีความหนาแน่นสูงกว่าได้ (ตาราง: จังหวะ).

แม้ว่า BoW ได้รับการออกแบบมาเพื่อใช้ภายในชิปเล็ตเป็นหลัก แต่ UCIe ได้รับการออกแบบมาเพื่อการใช้งานสองกรณี เช่นเดียวกับ BoW UCIe ได้รับการออกแบบมาเพื่อรองรับการเชื่อมต่อภายในชิปเซ็ตที่ประหยัดพลังงาน ความหนาแน่นสูง และคุ้มค่า นอกจากนี้ UCIe ยังได้รับการออกแบบเพื่อรองรับการเชื่อมต่อนอกแพ็คเกจโดยใช้การเชื่อมต่อระหว่างกันแบบออปติคอลและไฟฟ้า โดยใช้ตัวจับเวลาสำหรับโปรโตคอลพื้นฐาน เช่น PCIe หรือ CXL ที่ระดับแร็คและสูงกว่า

เมื่อใช้ UCIe สำหรับการเชื่อมต่อภายนอกชิปเล็ต ความปลอดภัยอาจกลายเป็นเรื่องกังวลได้ เพื่อเป็นการตอบสนอง กลุ่มความร่วมมือ UCIe ได้จัดตั้งคณะทำงานย่อยที่มุ่งเน้นการรักษาความปลอดภัยที่เพิ่มขึ้นสำหรับระบบนิเวศชิปเล็ตและมัลติไดย์ ความพยายามนี้จะจัดการกับความท้าทายด้านความปลอดภัยหลายประการภายในชิปเล็ตและสำหรับการเชื่อมต่อชิปเล็ตกับโลกภายนอก มีการเสนอเลเยอร์โปรโตคอลหลักที่เสริมพลัง AI ที่ปลอดภัยเพื่อจัดการกับความท้าทายด้านความปลอดภัยที่เพิ่มขึ้น (รูป 1- เนื่องจากชิปเซ็ตมีการใช้งานเพิ่มมากขึ้นในระบบยานยนต์, แอปพลิเคชัน AI, ML และ HPC ความต้องการด้านความปลอดภัยจึงคาดว่าจะเพิ่มมากขึ้น

รูปที่ 1 UCIe สามารถรวมเลเยอร์โปรโตคอลที่เสริมศักยภาพด้วย AI ที่ปลอดภัย เพื่อตอบสนองความต้องการด้านความปลอดภัย (ภาพ: UCIexpress.org).

สรุป
BoW และ UCIe รองรับประสิทธิภาพระดับสูงและความซ้ำซ้อนสำหรับการเชื่อมต่อระหว่างชิปเล็ต UCIe สามารถนำเสนอโซลูชันที่มีความหนาแน่นสูงกว่าได้ BoW ได้รับการออกแบบมาเพื่อใช้ภายในชิปเล็ตเป็นหลัก ในขณะที่ UCIe สามารถรองรับการสื่อสารภายในชิปเล็ตและระหว่างชิปเล็ตกับระบบภายนอก

อ้างอิง
ข้อมูลจำเพาะ PHY ของ Bunch of Wires (BoW) โครงการ Open Compute
การออกแบบและ เทคโนโลยี พื้นที่สำหรับการบูรณาการชิปเล็ตที่แตกต่างกัน การออกแบบโซลูชัน
Generative AI Compute Front-Runner d-Matrix บรรลุเป้าหมายใหม่ในการอนุมาน AI ที่มีประสิทธิภาพ d-Matrix
การรักษาความปลอดภัยพรมแดนใหม่: ความท้าทายด้านความปลอดภัยของ Chiplets และฮาร์ดแวร์, UCIexpress.org
The Bunch of Wires (BoW) - อินเทอร์เฟซทางกายภาพแบบโอเพ่นซอร์สที่เปิดใช้งานสถาปัตยกรรม Chiplet, IEEE Electronics Packaging Society
มาตรฐาน UCIe: อธิบายคุณประโยชน์และข้อกำหนด Synopsys
Universal Chiplet Interconnect Express (UCIe)®: มาตรฐานแบบเปิดสำหรับการพัฒนาระบบนิเวศของชิปเล็ตที่ประสบความสำเร็จ IEEE Electronics Packaging Society
อินเทอร์เฟซข้อมูลใดบ้างที่ใช้สำหรับการเชื่อมต่อระหว่างกันของ Chiplet, Cadence