Xưởng đúc chip đấu với quy trình tiên tiến, vì sao TSMC dẫn đầu về 2nm?

Cập nhật: ngày 16 tháng 2023 năm XNUMX

Gần đây, có thông tin cho rằng TSMC đã đạt được bước đột phá lớn trong việc nghiên cứu và phát triển quy trình tiên tiến 2nm và đã tìm ra thành công con đường cắt vào cổng toàn diện (GAA) công nghệ.

Việc theo đuổi các quy trình tiên tiến hơn bằng cách sử dụng các quy trình trưởng thành và đặc trưng luôn là hướng đi của các nhà sản xuất chip như TSMC và Samsung. Trước đó, Samsung tuyên bố sẽ đi đầu trong việc giới thiệu công nghệ GAA ở tiến trình 3nm, thể hiện tham vọng trở thành nhà sản xuất chip dẫn đầu toàn cầu. Lần này, TSMC đã tạo ra một bước đột phá lớn trong quá trình nghiên cứu và phát triển quy trình 2nm, điều này làm nổi bật sức mạnh nghiên cứu và phát triển mạnh mẽ của họ, đồng thời làm gia tăng sự cạnh tranh giữa hai gã khổng lồ sản xuất chip.

 TSMC, Samsung cạnh tranh cho các quy trình tiên tiến hơn

Sau khi Định luật Moore ra đời, kích thước của chip ngày càng nhỏ hơn và các công ty không ngừng khám phá các quy trình và vật liệu mới để phát triển bán dẫn sản phẩm và nâng cao hiệu suất. Mo Dakang, một chuyên gia trong lĩnh vực Semiconductor ngành công nghiệp, nói với phóng viên “Tin tức Điện tử Trung Quốc” rằng lộ trình phát triển chính hiện tại của bán dẫn ngành là sự giảm quy mô liên tục. Việc giảm kích thước có thể dẫn đến cải thiện khả năng tích hợp, nâng cao hiệu suất sản phẩm và giảm giá thành sản phẩm.

TSMC và Samsung là những công ty hàng đầu trong lĩnh vực đúc chip. Theo TrendForce, trong quý II năm nay, TSMC đã giành được 51.5% thị phần xưởng đúc chip, đứng đầu danh sách, tiếp theo là Samsung với khoảng 19%. Jin Cunzhong, tổng thư ký Hiệp hội Thiết bị Điện tử Đặc biệt Trung Quốc, chỉ ra rằng TSMC đang đi trước Samsung trong lịch trình sản xuất hàng loạt 7 nanomet. Về vấn đề này, Zhou Peng, phó trưởng khoa vi điện tử của Đại học Phúc Đán, đã đưa ra thông tin cụ thể hơn: TSMC đã công bố sản xuất hàng loạt quy trình 7 nanomet vào đầu tháng 2018 năm 7 và đã có được khách hàng từ Apple, Huawei HiSilicon, AMD , Qualcomm và các khách hàng khác. Số lượng lớn đơn đặt hàng 2018nm. Mặc dù Samsung đã thông báo vào tháng 7 năm XNUMX rằng quy trình XNUMXnm của họ đã được sản xuất hàng loạt, nhưng sự chậm trễ về thời gian đã dẫn đến việc mất một lượng lớn đơn đặt hàng của khách hàng.

Trong lĩnh vực sản xuất tiên tiến, TSMC và Samsung tiếp tục “so găng”. Lấy quy trình 5nm làm ví dụ, TSMC đã giành được tất cả các đơn đặt hàng cho bốn bộ vi xử lý iPhone mới sắp ra mắt của Apple trong nửa cuối năm nay. Jin Cunzhong nói với các phóng viên rằng TSMC dự kiến ​​​​sẽ đạt được sản xuất hàng loạt 5 nanomet trong năm nay, nhưng Samsung không thể làm được. Thấy rằng TSMC đã giành được một số lượng lớn đơn đặt hàng 5nm, Samsung không muốn bị bỏ lại phía sau và tuyên bố rằng họ sẽ chuyển cơ sở sản xuất chip quy trình 7nm trước đây thành cơ sở sản xuất quy trình 5nm để cung cấp dịch vụ đúc chip cho các nhà sản xuất bên thứ ba. đang cố gắng sử dụng quy trình 5nm “vội vàng” để bắt kịp TSMC. Có thông tin cho rằng Samsung đã nhận được một số đơn đặt hàng sản xuất chip Qualcomm 5G và sẽ sử dụng quy trình 5nm để sản xuất chip.

Trong cuộc cạnh tranh quy trình cao cấp hơn, TSMC và Samsung vẫn đang “đuổi mình đuổi bắt”. Zhou Peng giới thiệu rằng Samsung đã đầu tư rất nhiều tiền vào việc nghiên cứu và phát triển các quy trình tiên tiến hơn. Đồng thời, nó cũng đã điều chỉnh lộ trình xử lý chip. Nó sẽ bỏ qua quy trình 4 nanomet và trực tiếp tăng từ 5 nanomet lên 3 nanomet. Trong quy trình 3 nanomet Đầu tiên thông báo rằng công nghệ GAA sẽ được sử dụng. Samsung cũng đã chế tạo MBCFET (Bóng bán dẫn hiệu ứng trường đa kênh) dựa trên các tấm nano, có thể tăng cường đáng kể Transistor hiệu suất để thay thế FinFET Transistor Công nghệ.

Mo Dakang nói với các phóng viên rằng mặc dù TSMC chậm hơn Samsung trong lịch trình phát triển kiến ​​trúc GAA, nhưng TSMC có kế hoạch vẫn sử dụng công nghệ FinFET trong quy trình 3nm. Giảm những thay đổi trong công cụ sản xuất có thể giữ cho cấu trúc chi phí của nó ổn định và giảm lượng khách hàng. thay đổi thiết kế, giảm chi phí sản xuất hoặc tạo ra kết quả tốt hơn. Zhou Peng cho biết TSMC đã bắt đầu lập kế hoạch cho quy trình 3 nanomet từ nhiều năm trước và có kế hoạch đạt được sản xuất hàng loạt vào năm 2021. Ở nút tiếp theo, 2nm, TSMC dường như đã đi trước một bước và lần này họ đã tạo ra một bước đột phá lớn. bước đột phá trong việc phát triển nghiên cứu và phát triển quy trình tiên tiến 2nm. Được biết, TSMC đã thông báo rằng họ sẽ xây dựng một nhà máy tại Công viên Khoa học và Công nghệ phía Nam ở Đài Loan, Trung Quốc, đồng thời bắt đầu nghiên cứu và phát triển quy trình 2 nanomet. Nó dự kiến ​​sẽ được đưa vào sản xuất ngay sau năm 2024. Và Samsung có rất ít thông tin về việc nghiên cứu và phát triển quy trình 2nm.

  Vì sao TSMC “dẫn đầu” trong lĩnh vực sản xuất tiên tiến?

Dưới “sự thúc đẩy” của Định luật Moore, sự cạnh tranh cho các quy trình tiên tiến hơn trong xưởng đúc đã tăng cường. Zhou Peng nói với các phóng viên rằng về quy trình sản xuất tiên tiến, ba gã khổng lồ sản xuất chip TSMC, Samsung và Intel đang ở phe đầu tiên. Intel đã có kế hoạch ra mắt 7nm (tương đương 5nm) vào năm 2021, nhưng chủ yếu vẫn bám sát nút 10nm, với hy vọng làm cho 10nm trở thành “cực phẩm”, nên chiến trường của các nút quy trình 7nm trở xuống chỉ còn TSMC. Và Samsung, cho thấy một mô hình cạnh tranh đầu sỏ tuyệt đối. Lần này, TSMC đã tạo ra một bước đột phá lớn trong việc nghiên cứu và phát triển các quy trình tiên tiến 2nm, điều đó có nghĩa là TSMC đang tạm thời ở vị trí dẫn đầu trong các quy trình tiên tiến hơn. Vậy tại sao TSMC lại có thể “dẫn đầu” trong các quy trình cao cấp hơn?

Mo Dakang giới thiệu rằng trên thực tế, TSMC không “chiến đấu một mình”. TSMC đã có thể tạo ra những bước đột phá trong công nghệ 2nm “đi trước thời đại”, nhờ sự hỗ trợ của một nhóm khổng lồ đằng sau nó. Được biết, TSMC luôn nhấn mạnh rằng họ luôn giữ thái độ trung lập khi thực hiện OEM, sẽ không tranh giành đơn đặt hàng với khách hàng và thực sự có thể đặt lợi ích của khách hàng lên hàng đầu. Do đó, TSMC đã có thể thiết lập mối quan hệ tốt với khách hàng trong một thời gian dài, khiến số lượng nhóm khách hàng (Apple, Xilinx, NVIDIA, v.v.) không có xung đột lợi ích với TSMC là rất lớn. Sau khi chip đi vào quy trình 3nm, nhiều công nghệ hiện có khó đáp ứng nhu cầu. Là một xưởng đúc, TSMC cũng không ngoại lệ. Nó cần được giải quyết toàn diện từ các khía cạnh kiến ​​trúc thiết bị, sự biến đổi của quy trình, hiệu ứng nhiệt, thiết bị và vật liệu. Tuy nhiên, do TSMC có một lượng khách hàng khổng lồ đằng sau nên họ có thể hợp tác với TSMC để cải thiện năng suất quy trình và giảm chi phí nhằm tăng tốc độ sản xuất hàng loạt, đây cũng là chìa khóa giúp TSMC có thể “đi trước” trong lĩnh vực 2nm.

Zhou Peng chỉ ra rằng những lợi thế của TSMC trong công nghệ FinFET đã hỗ trợ rất nhiều cho quá trình nghiên cứu và phát triển của TSMC trong quy trình tiên tiến 2nm, giúp hãng này vươn lên dẫn đầu. “Khi nút quy trình phát triển lên 3nm, kênh bóng bán dẫn được rút ngắn hơn nữa và cấu trúc FinFET gặp phải hạn chế của hiệu ứng đường hầm lượng tử. GAA-FET tương đương với phiên bản cải tiến của FinFET, cổng FinFET bao bọc phía kênh 3 và điều khiển FinFET Cơ chế dòng điện rò rỉ của cổng cũng tương tự và công nghệ GAA bao bọc cả bốn phía của kênh để cải thiện hơn nữa cổng khả năng kiểm soát kênh hiện tại. TSMC có nền tảng sâu rộng trong lĩnh vực công nghệ FinFET và những công nghệ này đã được tích lũy để TSMC chuyển đổi thành công từ FinFET 3nm Việc chuyển đổi công nghệ sang công nghệ GAA 2nm đã đóng một vai trò quan trọng trong việc thúc đẩy, rút ​​ngắn đáng kể chu kỳ lặp lại quy trình tiên tiến của TSMC cập nhật công nghệ.” Zhou Peng nói với các phóng viên.

Đồng thời, TSMC cũng sẵn sàng hỗ trợ thiết bị. Zhou Peng cho biết để hiện thực hóa quy trình tiên tiến 2 nanomet, TSMC đã đặt hàng thiết bị quang khắc cực tím (EUV) ASML với số lượng lớn. Tuy nhiên, Zhou Peng cũng chỉ ra rằng độ chính xác của kỹ thuật in thạch bản quyết định trực tiếp đến độ chính xác của quy trình. Đối với quy trình tiên tiến 2 nm, công nghệ EUV với khẩu độ số cao vẫn cần được phát triển. Việc tối ưu hóa các công cụ nguồn sáng và mặt nạ, cũng như năng suất và độ chính xác của EUV đều là yếu tố quan trọng để đạt được những bước đột phá trong công nghệ xử lý tiên tiến hơn.

  TSMC đột phá hay kích thích các nhà sản xuất khác nâng cấp công nghệ

Những đột phá công nghệ lớn trong các quy trình tiên tiến hơn sẽ ảnh hưởng đến toàn bộ quá trình tích hợp mạch cấu trúc ngành và thị trường. Zhou Peng cho biết, mặc dù việc đánh giá quy trình công nghệ cần xem xét mật độ, hiệu suất và mức tiêu thụ điện năng của các bóng bán dẫn thực tế, nhưng việc đưa các công nghệ chính vào quy trình tiên tiến có ý nghĩa to lớn đối với ngành công nghiệp mạch tích hợp và cấu trúc thị trường. “Trong quá trình R&D của các quy trình tiên tiến, chi phí cho mỗi dây chuyền sản xuất công nghệ vượt quá 10 tỷ đô la Mỹ. Chi phí R&D và sản xuất cao hơn tương ứng với những thách thức kỹ thuật khó khăn hơn. Bất cứ khi nào công nghệ xử lý đạt đến giới hạn vật lý, cấu trúc bóng bán dẫn, Sự đổi mới và sức mạnh tổng hợp của kỹ thuật in thạch bản, lắng đọng, khắc, tích hợp, đóng gói và các công nghệ khác có thể đóng vai trò quyết định trong việc đột phá trần hiệu suất của chip.” Zhou Peng nói với các phóng viên.

Zhou Peng cũng nói với các phóng viên rằng nghiên cứu về các nút quy trình tiên tiến là rất quan trọng đối với sự phát triển của các xưởng đúc và toàn bộ ngành công nghiệp bán dẫn, và sự chậm trễ trong nghiên cứu và phát triển chắc chắn sẽ bị vượt qua hoặc thậm chí bị thay thế bởi các quy trình tiên tiến của các nhà sản xuất khác. Dựa trên điều này, Zhou Peng tin rằng bước đột phá công nghệ của TSMC trong quy trình 2nm có thể kích thích sự phát triển sản phẩm và nâng cấp công nghệ của các công ty hàng đầu như Samsung và Intel trong lĩnh vực quy trình tiên tiến.

Zhou Peng dự đoán rằng vì quy trình 3 nanomet của TSMC dự kiến ​​sẽ được sản xuất hàng loạt vào năm 2021, nên việc triển khai quy trình 2 nanomet của nó có thể diễn ra trong khoảng thời gian từ năm 2023 đến năm 2024. Vì vậy, nếu TSMC triển khai thành công quy trình 2nm, liệu điều này có thay đổi mô hình của xưởng đúc thị trường trong tương lai? Zhou Peng nói rằng lần đầu tiên ra mắt quy trình 2nm chắc chắn sẽ mở rộng hơn nữa thị phần của TSMC trên thị trường quy trình tiên tiến và thậm chí có thể mở rộng khoảng cách với Samsung và Intel. Tất nhiên, Samsung và Intel cũng đang tích cực thúc đẩy R&D. Việc nghiên cứu và phát triển công nghệ xử lý có rất nhiều biến số, và vẫn còn phải xem ai cuối cùng sẽ dẫn đầu trong tương lai.

Về sự cạnh tranh của các quy trình tiên tiến trên thị trường đúc, Zhou Peng nói rằng kiểu cạnh tranh này có thể mang lại lợi ích cho toàn bộ ngành công nghiệp mạch tích hợp và người dùng. “Nhu cầu thị trường thúc đẩy sự phát triển hơn nữa của các quy trình sản xuất tiên tiến. Bất kể ai là người dẫn đầu các quy trình sản xuất tiên tiến trong tương lai, thì lợi ích cuối cùng sẽ là toàn bộ ngành công nghiệp mạch tích hợp và tất cả những người yêu thích hiệu suất cao điện tử các sản phẩm." Zhou Peng nói với các phóng viên.