TSMC Technology Symposium: Innovationen vorgestellt unveil

Update: 6. August 2023

TSMC Technology Symposium: Innovationen vorgestellt unveilHeute stellt TSMC seine neuesten Innovationen im Bereich fortschrittlicher Logik vor Technologie, Spezialtechnologien und fortschrittliche Verpackungs- und Chip-Stacking-Technologien von TSMC 3DFabric auf seinem Technologiesymposium 2021.

Das Symposium findet zum zweiten Mal online statt und bringt Kunden mit den neuen Angeboten von TSMC zusammen, darunter N6RF für 5G-Smartphones der nächsten Generation und W-Lan 6/6e-Leistung, N5A für hochmoderne Automobilanwendungen und Verbesserungen im gesamten Spektrum der 3DFabric-Technologien.

„Die Digitalisierung verändert die Gesellschaft schneller denn je, da Menschen Technologien nutzen, um die durch die globale Pandemie geschaffenen Barrieren zu überwinden, um sich zu verbinden, zusammenzuarbeiten und Probleme zu lösen“, sagte Dr. CC Wei, CEO von TSMC Welt voller Möglichkeiten für die Halbleiter Industrie. Unser globales Technologiesymposium beleuchtet viele der Möglichkeiten, wie wir unser Technologieportfolio verbessern und erweitern, um die Innovationen unserer Kunden zu entfesseln.“

TSMC war das erste Unternehmen der Branche, das 5 die 2020-nm-Technologie in die Serienproduktion brachte, wobei sich die Fehlerdichte schneller verbesserte als bei der vorherigen 7-nm-Generation. Das N4 Die Erweiterung der 5-nm-Familie verbessert die Leistung, Energieeffizienz und Transistordichte weiter, zusammen mit der Reduzierung von Maskenschichten und der engen Kompatibilität der Designregeln mit N5. Die Entwicklung von TSMC N4 ist seit seiner Ankündigung auf dem Technologiesymposium 2020 reibungslos verlaufen, wobei die Risikoproduktion für das dritte Quartal 2021 angesetzt ist.

TSMC stellt vor N5A, das neueste Mitglied der 5-nm-Familie; das N5A-Verfahren zielt darauf ab, den wachsenden Bedarf an Rechenleistung in neueren und intensiveren Automobilanwendungen wie der KI-fähigen Fahrerassistenz und der Digitalisierung von Fahrzeugcockpits zu befriedigen.

N5A bringt dieselbe Technologie, die heute in Supercomputern verwendet wird, in Fahrzeuge, bietet die Leistung, Energieeffizienz und Logikdichte von N5 und erfüllt gleichzeitig die strengen Qualitäts- und Zuverlässigkeitsanforderungen von AEC-Q100 Grade 2 sowie andere Automobilsicherheits- und Qualitätsstandards.

TSMC N5A wird von der florierenden TSMC Automotive Design Enablement Platform unterstützt und soll im dritten Quartal 2022 verfügbar sein.

TSMC's N3 Technologie wird voraussichtlich die fortschrittlichste Technologie der Welt sein, wenn sie in der zweiten Jahreshälfte 2022 mit der Serienproduktion beginnt. Basierend auf der bewährten FinFET-Transistorarchitektur für beste Leistung, Energieeffizienz und Kosteneffizienz wird N3 bis zu 15 % Geschwindigkeitsgewinn bieten offer oder verbrauchen bis zu 30 % weniger Strom als N5 und bieten bis zu 70 % Logikdichteverstärkung.

5G-Smartphones benötigen mehr Siliziumfläche und verbrauchen mehr Strom, um im Vergleich zu 4G höhere drahtlose Datenraten zu liefern. 5G-fähige Chips integrieren mehr Funktionen und Komponenten und werden immer größer und konkurrieren mit dem Akku um einen begrenzten Platz im Smartphone.

TSMC stellte den N6RF-Prozess vor, der die Leistungs-, Leistungs- und Flächenvorteile seines fortschrittlichen N6-Logikprozesses auf 5G-Radiofrequenz- (RF) und WiFi 6/6e-Lösungen bringt. N6RF-Transistoren erreichen bei 16 nm eine mehr als 16 % höhere Leistung gegenüber der vorherigen Generation der RF-Technologie.

Darüber hinaus unterstützt N6RF eine erhebliche Leistungs- und Flächenreduzierung für 5G-HF-Transceiver sowohl für Sub-6-Gigahertz- als auch für Millimeterwellen-Spektrumsbänder, ohne die Leistung, Funktionen und Batterielebensdauer für Verbraucher zu beeinträchtigen. TSMC N6RF wird auch die Leistung und Energieeffizienz von WiFi 6/6e verbessern.

TSMC baut seine umfassende 3DFabric-Familie von 3D-Siliziumstapel- und fortschrittlichen Verpackungstechnologien weiter aus.

  • Für High-Performance-Computing-Anwendungen bietet TSMC größere Absehen für InFO_oS und CoWoS larger® Verpackungslösungen im Jahr 2021, was größere Grundrisse für die Chiplet- und Speicherintegration mit hoher Bandbreite ermöglicht. Darüber hinaus wird die Chip-on-Wafer (CoW)-Version von TSMC-SoIC™ in diesem Jahr auf N7-on-N7 qualifiziert, wobei die Produktion für 2022 in einer neuen vollautomatischen Fabrik geplant ist.
  • Für mobile Anwendungen stellt TSMC seine InFO_B-Lösung vor, die entwickelt wurde, um einen leistungsstarken mobilen Prozessor in einem schlanken, kompakten Gehäuse mit verbesserter Leistung und Energieeffizienz zu integrieren und das DRAM-Stacking von Mobilgeräteherstellern auf dem Gehäuse zu unterstützen.

TSMC setzte im Jahr 281 11,617 verschiedene Prozesstechnologien ein und stellte 510 Produkte für 2020 Kunden her, indem es das breiteste Spektrum an fortschrittlichen, speziellen und fortschrittlichen Verpackungstechnologiedienstleistungen bereitstellte. TSMC ist die erste Gießerei, die über die fortschrittlichste 5-Nanometer-Produktionskapazität verfügt Halbleiter weltweit verfügbare Prozesstechnologie.