Simposio de tecnología de TSMC: Reveladas las innovaciones

Actualización: 6 de agosto de 2023

Simposio de tecnología de TSMC: Reveladas las innovacionesHoy, TSMC presenta sus últimas innovaciones en lógica avanzada la tecnología, tecnologías especializadas y tecnologías avanzadas de empaquetado y apilamiento de chips TSMC 3DFabric en su Simposio de Tecnología 2021.

El simposio, que se llevará a cabo en línea por segundo año, conecta a los clientes con las nuevas ofertas de TSMC, incluido N6RF para teléfonos inteligentes 5G de próxima generación y WiFi Rendimiento 6/6e, N5A para aplicaciones automotrices de última generación y mejoras en toda la gama de tecnologías 3DFabric.

"La digitalización está transformando la sociedad más rápido que nunca, ya que las personas utilizan la tecnología para superar las barreras creadas por la pandemia global para conectarse, colaborar y resolver problemas", dijo el Dr. CC Wei, director ejecutivo de TSMC, "esta transformación digital ha abierto un nuevo mundo lleno de oportunidades para el Semiconductores industria. Nuestro Simposio de tecnología global destaca muchas de las formas en que estamos mejorando y expandiendo nuestra cartera de tecnología para dar rienda suelta a las innovaciones de nuestros clientes ".

TSMC fue el primero en la industria en llevar la tecnología de 5 nm a la producción en volumen en 2020 y la densidad de defectos mejoró más rápido que la generación anterior de 7 nm. La N4 La mejora de la familia de 5 nm mejora aún más el rendimiento, la eficiencia energética y la densidad del transistor junto con la reducción de las capas de máscara y una estrecha compatibilidad en las reglas de diseño con N5. El desarrollo de TSMC N4 se ha desarrollado sin problemas desde su anuncio en el Simposio de Tecnología 2020, y la producción de riesgo está programada para el tercer trimestre de 2021.

TSMC presenta N5A, el miembro más nuevo de la familia de 5 nm; El proceso N5A tiene como objetivo satisfacer la creciente demanda de potencia informática en aplicaciones automotrices más nuevas e intensivas, como la asistencia al conductor habilitada por IA y la digitalización de las cabinas de los vehículos.

N5A trae la misma tecnología utilizada en las supercomputadoras hoy en día a los vehículos, empaquetando el rendimiento, la eficiencia energética y la densidad lógica de N5 mientras cumple con los estrictos requisitos de calidad y confiabilidad de AEC-Q100 Grado 2, así como otros estándares de seguridad y calidad automotrices.

TSMC N5A es compatible con la floreciente plataforma de habilitación de diseño automotriz TSMC y está programado para estar disponible en el tercer trimestre de 2022.

TSMC's N3 La tecnología está preparada para ser la tecnología más avanzada del mundo cuando comience la producción en volumen en la segunda mitad de 2022. Confiando en la arquitectura de transistor FinFET probada para obtener el mejor rendimiento, eficiencia energética y rentabilidad, N3 ofrecerá hasta un 15% de ganancia de velocidad. o consumen hasta un 30% menos de energía que N5 y proporcionan hasta un 70% de ganancia de densidad lógica.

Los teléfonos inteligentes 5G requieren más área de silicio y consumen más energía para ofrecer mayores velocidades de datos inalámbricos en comparación con 4G. Los chips habilitados para 5G integran más funciones y componentes, y su tamaño crece cada vez más y compiten con la batería por una cantidad limitada de espacio dentro del teléfono inteligente.

TSMC presentó el proceso N6RF, que aporta los beneficios de potencia, rendimiento y área de su proceso lógico avanzado N6 a las soluciones de radiofrecuencia (RF) 5G y WiFi 6 / 6e. Los transistores N6RF alcanzan un rendimiento más de un 16% superior a la generación anterior de tecnología de RF a 16 nm.

Además, N6RF admite una reducción significativa de potencia y área para transceptores de RF 5G para bandas de espectro de ondas milimétricas y sub-6 gigahertz sin comprometer el rendimiento, las características y la duración de la batería que se ofrecen a los consumidores. TSMC N6RF también mejorará el rendimiento y la eficiencia energética de WiFi 6 / 6e.

TSMC continúa expandiendo su familia 3DFabric integral de apilamiento de silicio 3D y tecnologías avanzadas de empaque.

  • Para alta peEn aplicaciones informáticas de alto rendimiento, TSMC ofrecerá un tamaño de retícula más grande tanto para su InFO_oS como para CoWoS.® soluciones de empaquetado en 2021, permitiendo planos de planta más grandes para la integración de memoria chiplet y de gran ancho de banda. Además, la versión chip-on-wafer (CoW) de TSMC-SoIC ™ se calificará en N7-on-N7 este año con la producción prevista para 2022 en una nueva fábrica totalmente automatizada.
  • Para aplicaciones móviles, TSMC presenta su solución InFO_B, diseñada para integrar un potente procesador móvil en un paquete delgado y compacto con rendimiento mejorado y eficiencia energética, y admite el apilamiento de DRAM de los fabricantes de dispositivos móviles en el paquete.

TSMC implementó 281 tecnologías de proceso distintas y fabricó 11,617 productos para 510 clientes en 2020 brindando la más amplia gama de servicios de tecnología de empaque avanzada, especializada y avanzada. TSMC es la primera fundición que ofrece capacidades de producción de 5 nanómetros, la más avanzada semiconductor tecnología de procesos disponible en el mundo.