Simpósio de Tecnologia TSMC: Inovações reveladas

Atualização: 6 de agosto de 2023

Simpósio de Tecnologia TSMC: Inovações reveladasHoje, a TSMC está revelando suas mais recentes inovações em lógica avançada tecnologia, tecnologias especializadas e tecnologias avançadas de empacotamento e empilhamento de chips TSMC 3DFabric em seu Simpósio de Tecnologia de 2021.

Realizado online pelo segundo ano, o simpósio conecta os clientes às novas ofertas da TSMC, incluindo N6RF para smartphones 5G de próxima geração e Wi-fi Desempenho 6/6e, N5A para aplicações automotivas de última geração e aprimoramentos em toda a gama de tecnologias 3DFabric.

“A digitalização está transformando a sociedade mais rápido do que nunca, à medida que as pessoas usam a tecnologia para superar as barreiras criadas pela pandemia global para conectar, colaborar e resolver problemas”, disse o Dr. CC Wei, CEO da TSMC, “esta transformação digital abriu um novo mundo cheio de oportunidades para o Semicondutores indústria. Nosso Simpósio de Tecnologia global destaca muitas das maneiras como estamos aprimorando e expandindo nosso portfólio de tecnologia para liberar as inovações de nossos clientes. ”

A TSMC foi a primeira na indústria a trazer a tecnologia de 5 nm para a produção de volume em 2020 com a densidade de defeitos melhorando mais rápido do que a geração anterior de 7 nm. O N4 o aprimoramento da família de 5 nm melhora ainda mais o desempenho, a eficiência de energia e a densidade do transistor, juntamente com a redução das camadas de máscara e compatibilidade estreita nas regras de design com o N5. O desenvolvimento do TSMC N4 tem ocorrido sem problemas desde seu anúncio no Simpósio de Tecnologia de 2020, com produção de risco definida para o terceiro trimestre de 2021.

TSMC está apresentando N5A, o mais novo membro da família 5nm; o processo N5A visa satisfazer a crescente demanda por poder de computação em aplicações automotivas mais novas e intensivas, como assistência ao motorista habilitada para IA e digitalização de cockpits de veículos.

O N5A traz para os veículos a mesma tecnologia usada em supercomputadores hoje em dia, com desempenho, eficiência energética e densidade lógica do N5, ao mesmo tempo que atende aos rigorosos requisitos de qualidade e confiabilidade do AEC-Q100 Grau 2, bem como outros padrões de segurança e qualidade automotivos.

O TSMC N5A é apoiado pela próspera plataforma de capacitação de design automotivo TSMC e está programado para estar disponível no terceiro trimestre de 2022.

TSMC's N3 a tecnologia está posicionada para ser a tecnologia mais avançada do mundo quando começar a produção em volume no segundo semestre de 2022. Contando com a comprovada arquitetura de transistor FinFET para o melhor desempenho, eficiência de energia e economia, o N3 oferecerá até 15% de ganho de velocidade ou consome até 30% menos energia do que o N5 e fornece ganho de densidade lógica de até 70%.

Os smartphones 5G requerem mais área de silício e consomem mais energia para fornecer taxas de dados sem fio mais altas em comparação com 4G. Os chips habilitados para 5G integram mais funcionalidade e componentes e estão cada vez mais crescendo em tamanho e competindo com a bateria por uma quantidade limitada de espaço dentro do smartphone.

A TSMC lançou o processo N6RF, que traz a potência, desempenho e benefícios de área de seu processo lógico N6 avançado para soluções de radiofrequência (RF) 5G e WiFi 6 / 6e. Os transistores N6RF alcançam desempenho mais de 16% superior em relação à geração anterior da tecnologia RF a 16 nm.

Além disso, o N6RF suporta redução significativa de potência e área para transceptores de RF 5G para bandas do espectro de ondas sub-6 gigahertz e milimétricas sem comprometer o desempenho, os recursos e a vida útil da bateria oferecida aos consumidores. O TSMC N6RF também aumentará o desempenho do WiFi 6 / 6e e a eficiência energética.

A TSMC continua a expandir sua família 3DFabric abrangente de empilhamento de silício 3D e tecnologias de embalagem avançadas.

  • Para alto performance computing application, a TSMC estará oferecendo retículo de tamanho maior para seu InFO_oS e CoWoS® soluções de empacotamento em 2021, permitindo plantas baixas maiores para chipset e integração de memória de alta largura de banda. Além disso, a versão chip-on-wafer (CoW) do TSMC-SoIC ™ será qualificada no N7-on-N7 este ano, com produção prevista para 2022 em uma nova fábrica totalmente automatizada.
  • Para aplicativos móveis, a TSMC está apresentando sua solução InFO_B, projetada para integrar um poderoso processador móvel em um pacote fino e compacto com desempenho e eficiência de energia aprimorados e suporte ao empilhamento de DRAM dos fabricantes de dispositivos móveis no pacote.

A TSMC implantou 281 tecnologias de processos distintas e fabricou 11,617 produtos para 510 clientes em 2020, fornecendo a mais ampla gama de serviços de tecnologia de embalagem avançada, especializada e avançada. A TSMC é a primeira fundição a fornecer capacidades de produção de 5 nanômetros, o mais avançado Semicondutor tecnologia de processo disponível no mundo.