Software de diseño físico Aprisa actualizado

Actualización: 12 de diciembre de 2023

Software de diseño físico Aprisa actualizado

Las mejoras incluyen:

• Reducción promedio del tiempo de ejecución de flujo completo del 30% en comparación con el anterior
lanzamiento, y tiempos de ejecución hasta 2 veces más rápidos para diseños más grandes y desafiantes.

• Mejoras en todos los motores principales de ubicación y ruta, desde la ubicación
optimización para la optimización de la síntesis de árbol de reloj (CTS), optimización de rutas y análisis de tiempo. Los beneficios de estas mejoras de rendimiento se pueden observar en casi todos los diseños de IC, y especialmente en diseños grandes con características complicadas de múltiples esquinas y multimodo (MCMM). En estos diseños, Aprisa ha demostrado funcionar hasta 2 veces más rápido que la generación anterior.

• Hasta un 60% de reducción de la huella de memoria; Aprisa ha reducido, en promedio, un 30 por ciento de uso máximo de memoria de flujo completo para diseños grandes y hasta un 60 por ciento para diseños complejos, en comparación con la generación anterior. Esta mayor eficiencia permite completar diseños aún más grandes con MCMM complicados en servidores con menos RAM disponible.

• Habilitación de diseño de 6nm / 5nm / 4nm. Siemens ha colaborado estrechamente con fundiciones líderes para habilitar Aprisa para nodos avanzados. Aprisa está totalmente certificada para procesos de 6nm, y Siemens ha implementado todas las reglas y características de diseño necesarias para la habilitación del diseño de nodos de 5nm y 4nm. Las certificaciones finales, en colaboración con los principales socios de fundición del mundo, están en curso.

• Soporte extendido para dominio de potencia múltiple (MPD). Las funcionalidades ampliadas aumentan en gran medida la flexibilidad y la integridad del soporte MPD, que es fundamental para los diseños de bajo consumo de energía.