GUC annuncia l'IP dell'interfaccia Die-on-Die GLink-3D

Aggiornamento: 25 maggio 2021

GUC annuncia l'IP dell'interfaccia Die-on-Die GLink-3D

GUC annuncia l'IP dell'interfaccia Die-on-Die GLink-3D

Global Unichip (GUC), uno sviluppatore ASIC, ha annunciato l'IP dell'interfaccia die-on-die GLink-3D utilizzando i processi N5 e N6 di TSMC e il packaging avanzato 3DFabric la tecnologia per applicazioni AI, HPC e di rete.

Con la crescente domanda di AI, HPC e memoria di rete, è necessaria la disintegrazione SRAM / logica che consenta l'implementazione di SRAM e logica separate nei nodi di processo più efficienti.

Strati di die CPU e SRAM (Last Level Cache, packet buffer) possono essere assemblati sopra e sotto i die di interconnessione / IO utilizzando la tecnologia di packaging 3DFabric di TSMC e queste SRAM espandibili e applicazioni di elaborazione modulare possono essere abilitate da GUC GLink-3D ad alta larghezza di banda, bassa latenza , bassa potenza e interfaccia punto-multipunto tra stampi 3D impilati.

Di conseguenza, CPU, SRAM, interconnessioni e I / O (SerDes, HBM, DDR) possono essere implementati in nodi di processo più efficienti, mentre è possibile assemblare diverse combinazioni di die per soddisfare diversi segmenti di mercato. Al momento dell'avvio, vengono identificati i die di SRAM e CPU assemblati, gli ID dei die univoci vengono distribuiti, lo spazio di memoria disponibile e le risorse di elaborazione vengono definiti e viene abilitata un'interfaccia GLink-3D punto-multipunto per i die impilati.

Utilizzando la tecnologia della piattaforma 3DFabric SoIC di TSMC è ora possibile una connettività più efficiente e GLink-3D è stato in grado di raggiungere una densità di larghezza di banda / area sei volte superiore, una latenza sei volte inferiore e un consumo energetico due volte inferiore rispetto alla migliore interfaccia 2.5D GLink-2.0 (è stato registrato nel dicembre 2020). Diversi stampi 3D possono essere assemblati usando CoWoS e InFO_oS, interconnessi usando collegamenti GLink-2.5D e combinati con memorie HBM.

“GLink-3D è una nuova aggiunta a un ricco portafoglio di IP HBM2E / 3 PHY / Controller e GLink-2.5D best-in-class e comprovati con il silicio. CoWoS, InFO_oS, esperienza 3DIC, progettazione di pacchetti, simulazioni elettriche e termiche, DFT e test di produzione sotto un unico tetto GUC forniscono ai nostri clienti ASIC cicli di progettazione rapidi, avvio rapido e avvio della produzione ". ha spiegato il dottor Ken Chen, presidente della GUC.

“La tecnologia di stacking 3D darà inizio a una rivoluzione nel modo in cui progettiamo HPC, AI e processori di rete. L'interfaccia die-to-die non è più limitata al limite del die, ma può essere posizionata esattamente dove i processori devono connettersi alla SRAM e alle CPU aggiuntive.

"3DFabric e GLink-3D aprono la strada ai processori del futuro, combinando una potenza di elaborazione enorme e scalabile con una memoria ampia, ad alta larghezza di banda e bassa latenza, quando ogni componente viene implementato utilizzando il nodo di processo più efficiente." ha aggiunto Igor Elkanovich, CTO di GUC.