Intel établit une feuille de route pour un leadership « incontesté » des processus de l'industrie d'ici 2025

Mise à jour : 27 juillet 2021
Intel établit une feuille de route pour un leadership « incontesté » des processus de l'industrie d'ici 2025

La feuille de route du processus est sur une cadence annuelle. Après le processus actuel de 10 nm,  Intel passe à « Intel 7 », qui offrira une augmentation des performances par watt d'environ 10 à 15 % par rapport à Intel 10 nm.

Intel 7 sera présenté dans des produits tels que Alder Lake pour le client en 2021 et Sapphire Rapids pour le centre de données, qui devrait être en production au premier trimestre 2022.

Après Intel 7 vient Intel 4 qui embrasse pleinement la lithographie EUV qui offre une augmentation des performances par watt d'environ 20 %, ainsi que des améliorations de surface. 

Intel 4 sera prêt à être mis en production au second semestre 2022 pour des produits expédiés en 2023, notamment Meteor Lake pour les PC et Granite Rapids pour le datacenter. 

Après Intel 4, Intel 3 tire parti d'autres optimisations FinFET et d'une EUV accrue pour offrir une augmentation des performances par watt d'environ 18 % par rapport à Intel 4, ainsi que des améliorations de zone supplémentaires. Intel 3 sera prêt à commencer à fabriquer des produits au second semestre 2023. 

Vient ensuite l'Intel 20A - la première génération du  l'ère angström - qui a deux innovations majeures - l'introduction de transistors de porte tout autour - qu'Intel appelle RibbonFET - et une nouvelle façon de fournir de l'énergie avec les fils à l'arrière de la puce qu'Intel appelle PowerVia. 

PowerVia fournit plus rapidement Transistor vitesses de commutation tout en obtenant le même courant d'entraînement que plusieurs ailettes dans un encombrement réduit. Il a optimisé la transmission du signal en éliminant le besoin de routage de l'alimentation sur la face avant de la plaquette. Intel 20A devrait monter en puissance en 2024. 

Au-delà d'Intel 20A, Intel 18A est déjà en cours de développement pour le début de 2025 avec des améliorations de RibbonFET qui permettront une autre avancée majeure dans Transistor performance qui, selon le PDG Pat Gelsinger, offrira « un leadership incontesté de l'industrie plus tôt que vous ne le pensiez ».

Intel travaille également avec ASML pour définir, créer et déployer la nouvelle génération d'ouverture numérique élevée  EUV, et s'attend à recevoir le premier outil de production de l'industrie. 

Intel travaille avec Imec et IBM pour fournir la feuille de route.