Imec demonstreert geïntegreerde forksheet-FET's voor 2nm-processen

Update: 9 december 2023

Imec demonstreert geïntegreerde forksheet-FET's voor 2nm-processen

Metalen poorten met dubbele werkfunctie zijn geïntegreerd op een afstand van 17 nm tussen n- en pFET's, wat het belangrijkste voordeel van forksheet-apparaten voor geavanceerde toepassingen benadrukt CMOS schaalvergroting van het gebied.

Het forksheet-apparaat is onlangs door imec voorgesteld als de meest veelbelovende apparaatarchitectuur om de generatie van GAA-nanosheetapparaten uit te breiden met extra schaling en prestaties voorbij 2 nm technologie knooppunt.

In tegenstelling tot nanosheet-apparaten, worden de vellen nu bestuurd door een tri-gate gevorkte structuur - gerealiseerd door een diëlektrische wand tussen de p- en nMOS-apparaten te plaatsen voordat poortpatronen worden gevormd.

Deze muur isoleert fysiek de p-gate-sleuf van de n-gate-sleuf, waardoor een veel nauwere n-naar-p-afstand mogelijk is dan mogelijk is met FinFET- of nanosheet-apparaten.

Technologiebeoordeling op basis van TCAD-simulaties toonde eerder een superieure schaalbaarheid van gebied en prestaties. De prestatieverbetering wordt voornamelijk toegeschreven aan een verminderde Miller-capaciteit - als gevolg van een kleinere gate-drain-overlap.

Imec presenteert voor het eerst een elektrische karakterisering van zijn forksheet-apparaten die met succes werden geïntegreerd met behulp van een processtroom van 300 mm, met poortlengtes tot 22 nm.

Zowel n- als pFET's, elk met twee gestapelde Si-kanalen, bleken volledig functioneel te zijn. Hun korte kanaalcontrole (SSSAT = 66-68mV) was vergelijkbaar met die van verticaal gestapelde nanosheet-apparaten die op dezelfde wafer waren geïntegreerd.

Voor de forksheet-apparaten werden metalen poorten met dubbele werkfunctie geïntegreerd met behulp van een vervangende metalen poortstroom bij een np-ruimte zo krap als 17 nm (wat ongeveer 35% is van de afstand in ultramoderne FinFET-technologie), met de nadruk op een van de belangrijkste voordelen van de nieuwe apparaatarchitectuur.

“Vanaf 2022 wordt verwacht dat de huidige leading edge zal zijn FinFET transistors zullen geleidelijk plaats maken voor verticaal gestapelde nanosheet-transistoren bij de productie van grote volumes, omdat de FinFET er niet in slaagt voldoende prestaties te leveren op geschaalde dimensies”, legt Naoto Horiguchi, directeur CMOS Device Technology bij Imec uit, “procesbeperkingen zullen echter een grens stellen aan de manier waarop close De n- en p-apparaten van de nanosheet kunnen samen worden gebracht, wat een verdere reductie van de celhoogte uitdaagt.

De nieuwe architectuur van het forksheet-apparaat – een natuurlijke evolutie van het GAA-nanosheet-apparaat – belooft deze limiet te verleggen, waardoor de spoorhoogte kan worden geschaald van 5T naar 4.3T terwijl er nog steeds prestatiewinst wordt geboden. Als alternatief kan bij een vorkplaatontwerp de beschikbare ruimte worden gebruikt om de plaatbreedte te vergroten en zo de aandrijfstroom verder te verbeteren. Onze elektrische karakteriseringsresultaten bevestigen dat de forksheet de meest veelbelovende apparaatarchitectuur is om de routekaarten voor logica en SRAM-schaling uit te breiden tot voorbij 2 nm, waarbij de nanosheet-integratie op een niet-verstorende manier wordt benut.”