Imec, 2nm süreçleri için entegre forksheet FET'leri tanıtıyor

Güncelleme: 9 Aralık 2023

Imec, 2nm süreçleri için entegre forksheet FET'leri tanıtıyor

Dual work function metal gates are integrated at 17nm spacing between n- and pFETs, highlighting the key benefit of forksheet devices for advanced CMOS area scaling.

Forksheet cihazı yakın zamanda imec tarafından GAA nanosheet cihaz neslini ek ölçeklendirme ve 2 nm'nin ötesinde performansla genişletmek için en umut verici cihaz mimarisi olarak önerildi. teknoloji düğümü.

Nano tabaka cihazlarından farklı olarak, tabakalar artık üç kapılı çatallı bir yapı tarafından kontrol ediliyor; bu, kapı desenlemesinden önce p- ve nMOS cihazları arasına bir dielektrik duvarın yerleştirilmesiyle gerçekleştirildi.

Bu duvar, p-geçidi hendeğini n-geçidi hendeğinden fiziksel olarak izole ederek, FinFET veya nanosheet cihazlarıyla mümkün olandan çok daha sıkı bir n-p aralığına izin verir.

Daha önce TCAD simülasyonlarına dayalı teknoloji değerlendirmesi üstün alan ve performans ölçeklenebilirliği göstermişti. Performans artışı esas olarak daha küçük geçit-drenaj örtüşmesinden kaynaklanan azaltılmış Miller kapasitansına bağlanıyor.

Imec, ilk kez, 300 nm'ye kadar kapı uzunlukları ile 22 mm'lik bir proses akışı kullanılarak başarılı bir şekilde entegre edilen forksheet cihazlarının elektriksel karakterizasyonunu sunuyor.

Her biri iki istiflenmiş Si kanalına sahip olan hem n- hem de pFET'lerin tamamen işlevsel olduğu bulundu. Kısa kanal kontrolleri (SSSAT = 66-68mV), aynı plaka üzerinde birlikte entegre edilmiş dikey olarak istiflenmiş nano tabaka cihazlarıyla karşılaştırılabilir düzeydeydi.

Çatal levha cihazları için, 17nm kadar dar bir np alanda (en son teknoloji FinFET teknolojisindeki aralığın yaklaşık %35'i kadardır) yedek metal kapı akışı kullanılarak çift çalışma fonksiyonlu metal kapılar entegre edildi; yeni cihaz mimarisinin temel faydaları.

“From 2022 onwards, it is expected that today’s leading-edge FinFET transistors will gradually give way to vertically stacked nanosheet transistors in high-volume manufacturing, as the FinFET fails to provide enough performance at scaled dimensions,” explains Naoto Horiguchi, Director CMOS Device Technology at Imec, “process limitations will however pose a limit to how close the nanosheet’s n and p devices can be brought together, challenging further cell height reduction.

The new forksheet device architecture – which is a natural evolution of the GAA nanosheet device – promises to push this limit, allowing track height scaling from 5T to 4.3T while still offering a performance gain. Alternatively, with a forksheet design, the available space can be used to increase the sheet width and as such further enhance the drive current. Our electrical characterization results confirm that the forksheet is the most promising device architecture to extend the logic and SRAM scaling roadmaps beyond 2nm leveraging the nanosheet integration in a non-disruptive way.”