Demo Imec FET forksheet terintegrasi untuk proses 2nm

Pembaruan: 9 Desember 2023

Demo Imec FET forksheet terintegrasi untuk proses 2nm

Gerbang logam dengan fungsi kerja ganda terintegrasi pada jarak 17nm antara n- dan pFET, menyoroti manfaat utama perangkat forksheet untuk tingkat lanjut CMOS penskalaan wilayah.

Perangkat forksheet baru-baru ini diusulkan oleh imec sebagai arsitektur perangkat yang paling menjanjikan untuk memperluas generasi perangkat nanosheet GAA dengan penskalaan dan kinerja tambahan melebihi 2nm. teknologi simpul.

Tidak seperti perangkat nanosheet, lembaran sekarang dikendalikan oleh struktur bercabang tiga gerbang – diwujudkan dengan memperkenalkan dinding dielektrik di antara perangkat p- dan nMOS sebelum pola gerbang.

Dinding ini secara fisik mengisolasi parit p-gerbang dari parit n-gerbang, memungkinkan jarak n-ke-p yang jauh lebih rapat daripada yang dimungkinkan dengan perangkat FinFET atau nanosheet.

Penilaian teknologi berdasarkan simulasi TCAD sebelumnya menunjukkan area superior dan skalabilitas kinerja. Peningkatan kinerja terutama dikaitkan dengan kapasitansi Miller yang berkurang – yang dihasilkan dari tumpang tindih saluran-gerbang yang lebih kecil.

Imec menyajikan untuk pertama kalinya karakterisasi listrik perangkat forksheet-nya yang berhasil diintegrasikan dengan menggunakan aliran proses 300mm, dengan panjang gerbang hingga 22nm.

Baik n- dan pFET, masing-masing dengan dua saluran Si bertumpuk, ditemukan berfungsi penuh. Kontrol saluran pendek mereka (SSSAT = 66-68mV) sebanding dengan perangkat nanosheet yang ditumpuk secara vertikal yang terintegrasi bersama pada wafer yang sama.

Untuk perangkat forksheet, gerbang logam fungsi kerja ganda diintegrasikan menggunakan aliran gerbang logam pengganti pada ruang np seketat 17nm (yaitu sekitar 35% dari jarak dalam teknologi FinFET mutakhir), menyoroti salah satu dari manfaat utama dari arsitektur perangkat baru.

“Mulai tahun 2022 dan seterusnya diharapkan menjadi yang terdepan saat ini FinFET transistor secara bertahap akan memberi jalan kepada transistor nanosheet yang ditumpuk secara vertikal dalam produksi bervolume tinggi, karena FinFET gagal memberikan kinerja yang cukup pada dimensi berskala,” jelas Naoto Horiguchi, Direktur CMOS Device Technology di Imec, “namun keterbatasan proses akan membatasi caranya tutup perangkat n dan p nanosheet dapat disatukan, menantang pengurangan tinggi sel lebih lanjut.

Arsitektur perangkat forksheet baru – yang merupakan evolusi alami dari perangkat nanosheet GAA – menjanjikan untuk melampaui batas ini, memungkinkan penskalaan ketinggian track dari 5T ke 4.3T sambil tetap menawarkan peningkatan kinerja. Alternatifnya, dengan desain forksheet, ruang yang tersedia dapat digunakan untuk menambah lebar lembar dan dengan demikian semakin meningkatkan arus penggerak. Hasil karakterisasi kelistrikan kami mengonfirmasi bahwa forksheet adalah arsitektur perangkat yang paling menjanjikan untuk memperluas peta jalan penskalaan logika dan SRAM melampaui 2nm dengan memanfaatkan integrasi nanosheet dengan cara yang tidak mengganggu.”