Imec trình diễn các FET bảng tính tích hợp cho các quy trình 2nm

Cập nhật: ngày 9 tháng 2023 năm XNUMX

Imec trình diễn các FET bảng tính tích hợp cho các quy trình 2nm

Cổng kim loại có chức năng làm việc kép được tích hợp ở khoảng cách 17nm giữa n- và pFET, làm nổi bật lợi ích chính của thiết bị forksheet cho các ứng dụng nâng cao CMOS mở rộng quy mô diện tích.

Thiết bị forksheet gần đây đã được imec đề xuất là kiến ​​trúc thiết bị hứa hẹn nhất để mở rộng thế hệ thiết bị tấm nano GAA với khả năng mở rộng và hiệu suất bổ sung vượt quá 2nm công nghệ nút.

Không giống như các thiết bị nanô, các tấm hiện nay được điều khiển bởi cấu trúc chia ba cổng - được thực hiện bằng cách đặt một bức tường điện môi ở giữa các thiết bị p- và nMOS trước khi tạo mẫu cổng.

Bức tường này cách ly vật lý rãnh cổng p với rãnh cổng n, cho phép khoảng cách n-to-p chặt chẽ hơn nhiều so với những gì có thể với thiết bị FinFET hoặc nano.

Đánh giá công nghệ dựa trên mô phỏng TCAD trước đó cho thấy khả năng mở rộng diện tích và hiệu suất vượt trội. Sự gia tăng hiệu suất chủ yếu là do điện dung Miller giảm - do chồng chéo cửa cống nhỏ hơn.

Imec lần đầu tiên giới thiệu đặc tính điện của các thiết bị bảng nĩa đã được tích hợp thành công bằng cách sử dụng luồng quy trình 300mm, với chiều dài cổng xuống đến 22nm.

Cả n- và pFET, mỗi cái có hai kênh Si xếp chồng lên nhau, đều có đầy đủ chức năng. Điều khiển kênh ngắn của họ (SSSAT = 66-68mV) có thể so sánh với điều khiển của các thiết bị nanô bảng xếp chồng theo chiều dọc được đồng tích hợp trên cùng một tấm wafer.

Đối với các thiết bị bảng nĩa, cổng kim loại chức năng làm việc kép được tích hợp bằng cách sử dụng dòng cổng kim loại thay thế ở không gian np chặt chẽ đến 17nm (khoảng 35% khoảng cách trong công nghệ FinFET hiện đại), làm nổi bật một trong những những lợi ích chính của kiến ​​trúc thiết bị mới.

“Từ năm 2022 trở đi, dự kiến ​​các công ty hàng đầu hiện nay FinFET Naoto Horiguchi, Giám đốc Công nghệ Thiết bị CMOS tại Imec giải thích: “Các bóng bán dẫn sẽ dần nhường chỗ cho các bóng bán dẫn nanosheet xếp chồng theo chiều dọc trong sản xuất số lượng lớn, vì FinFET không cung cấp đủ hiệu suất ở các kích thước được chia tỷ lệ,” Naoto Horiguchi, Giám đốc Công nghệ Thiết bị CMOS tại Imec giải thích, “tuy nhiên, các hạn chế của quy trình sẽ đặt ra giới hạn về cách đóng các thiết bị n và p của nanosheet có thể được kết hợp lại với nhau, thách thức việc giảm chiều cao tế bào hơn nữa.

Kiến trúc thiết bị forksheet mới – là sự phát triển tự nhiên của thiết bị nanosheet GAA – hứa hẹn sẽ đẩy giới hạn này, cho phép tăng chiều cao đường ray từ 5T lên 4.3T trong khi vẫn mang lại hiệu suất tăng. Ngoài ra, với thiết kế bảng càng, không gian có sẵn có thể được sử dụng để tăng chiều rộng của bảng và do đó tăng cường hơn nữa dòng điện truyền động. Kết quả mô tả đặc tính điện của chúng tôi xác nhận rằng forksheet là kiến ​​trúc thiết bị hứa hẹn nhất để mở rộng lộ trình mở rộng logic và SRAM vượt quá 2nm, tận dụng khả năng tích hợp nanosheet theo cách không gây gián đoạn.”